What's the difference between $stop and $finish in Verilog?

Posted by Steven on Stack Overflow See other posts from Stack Overflow or by Steven
Published on 2010-03-07T04:00:54Z Indexed on 2010/03/08 0:17 UTC
Read the original article Hit count: 284

Filed under:

I'm using a GUI simulator, and they both seem to do the same thing.

© Stack Overflow or respective owner

Related posts about verilog