verilog or systemc for testbench

Posted by Alphaneo on Stack Overflow See other posts from Stack Overflow or by Alphaneo
Published on 2009-03-17T08:22:46Z Indexed on 2010/04/20 12:33 UTC
Read the original article Hit count: 263

Filed under:
|
|
|

I am assigned with the task of verifying some verilog based RTL code. Now, coding the RTL testbench using verilog seems to be very difficult (for me). So I would like to try one of the following. - Try providing a PLI interface to the RTL and thereby invoke 'C functions for testing - Using system 'C for interfacing the 'C functions

PS: I already have a extensive 'C code that was used for testing the behavioral model. I am new to the world of hardware programming. Any pointers would be greatly appreciated.

© Stack Overflow or respective owner

Related posts about verilog

Related posts about systemc