How to define clock input in Xilinx

Posted by seventeen on Stack Overflow See other posts from Stack Overflow or by seventeen
Published on 2010-05-03T14:22:55Z Indexed on 2010/05/03 14:28 UTC
Read the original article Hit count: 417

Filed under:
|
|

Hey, I have almost no experience with Xilinx. I have a group project for a Digital Logic course that is due soon, where my partner, who was supposed to take care of the Xilinx simulations decided to bail on me. So here I am trying to figure it out last minute.

I have designed a synchronous counter using a few JK Flip Flops and I need to define the CLK input for the FJKCs.

I have drawn up the correct schematic, but I cannot figure out how to define a clock input.

Any help appreciated, and yes, this is homework. I just can't find any basic xilinx documentation/tutorials online and I honestly don't have time to learn the whole IDE.

© Stack Overflow or respective owner

Related posts about digital-logic

Related posts about xilinx