Hardware representation for arrays in VHDL

Posted by ahmed elbagoury on Stack Overflow See other posts from Stack Overflow or by ahmed elbagoury
Published on 2010-03-10T16:08:51Z Indexed on 2010/06/02 18:04 UTC
Read the original article Hit count: 252

Filed under:

Using VHDL i want to have a some registers that store 16 bit in each one. So i found that VHDL have a built in array,and i want to use it to store 16 bit in each element in iy so i want to know if VHDL map this array to actual registers or not?

© Stack Overflow or respective owner

Related posts about vhdl