Installing Tcl and Tix in OSX

Posted by Nate on Super User See other posts from Super User or by Nate
Published on 2011-03-09T04:31:34Z Indexed on 2011/03/10 8:12 UTC
Read the original article Hit count: 395

Filed under:
|

Hello,

I'm having trouble installing Tix on OSX the version of Tix I am using is 8.4.3. I try to install it by following the instructions in the README

% ./configure
% make
% make install

And iat the very start of make it gives me:

xXpm.o tixUnixWm.o  -L/Library/Frameworks/Tcl.framework -ltclstub8.5 -L/Library/Frameworks/Tk.framework -ltkstub8.5 
ld: warning: in /Library/Frameworks/Tcl.framework/libtclstub8.5.a, missing required architecture x86_64 in file
ld: warning: in /Library/Frameworks/Tk.framework/libtkstub8.5.a, missing required architecture x86_64 in file
Undefined symbols:

(A whole long list of things)


at the very end
ld: symbol(s) not found
collect2: ld returned 1 exit status
make: *** [libTix8.4.3.dylib] Error 1

Edit: Here's all the errors in the middle..

ld: warning: in /Library/Frameworks/Tcl.framework/libtclstub8.5.a, missing required architecture x86_64 in file
ld: warning: in /Library/Frameworks/Tk.framework/libtkstub8.5.a, missing required architecture x86_64 in file
Undefined symbols:
  "_Tk_InitStubs", referenced from:
      _Tix_Init in tixInit.o
  "_Tcl_InitStubs", referenced from:
      _Tix_Init in tixInit.o
  "_tclStubsPtr", referenced from:
      _FreeParseOptions in tixClass.o
      _FreeParseOptions in tixClass.o
      _Tix_UninitializedClassCmd in tixClass.o
      _Tix_UninitializedClassCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_InstanceCmd in tixClass.o
      _Tix_CreateInstanceCmd in tixClass.o
      _SetupAttribute in tixClass.o
      _SetupAttribute in tixClass.o
      _SetupAttribute in tixClass.o
      _ClassTableDeleteProc in tixClass.o
      _CreateClassRecord in tixClass.o
      _InitClass in tixClass.o
      _InitClass in tixClass.o
      _InitClass in tixClass.o
      _InitClass in tixClass.o
      _InitClass in tixClass.o
      _InitClass in tixClass.o
      _InitClass in tixClass.o
      _InitClass in tixClass.o

      _Tix_ClassCmd in tixClass.o
      _EventProc in tixCmds.o
      _IdleHandler in tixCmds.o
      _MapEventProc in tixCmds.o
      _MapEventProc in tixCmds.o
      _Tix_GetDefaultCmd in tixCmds.o
      _Tix_GetDefaultCmd in tixCmds.o
      _Tix_TmpLineCmd in tixCmds.o
      _Tix_ParentWindow in tixCmds.o
      _Tix_ParentWindow in tixCmds.o
      _Tix_DoWhenMappedCmd in tixCmds.o
      _Tix_DoWhenMappedCmd in tixCmds.o
      _Tix_DoWhenMappedCmd in tixCmds.o
      _Tix_DoWhenIdleCmd in tixCmds.o
      _Tix_DoWhenIdleCmd in tixCmds.o
      _Tix_DoWhenIdleCmd in tixCmds.o
      _Tix_DoWhenIdleCmd in tixCmds.o
      _Tix_DoWhenIdleCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_HandleOptionsCmd in tixCmds.o
      _Tix_Get3DBorderCmd in tixCmds.o
      _Tix_Get3DBorderCmd in tixCmds.o
      _Tix_Get3DBorderCmd in tixCmds.o
      _tixStrDup in tixCompat.o
      _Tix_ArgcError in tixError.o
      _Tix_ValueMissingError in tixError.o
      _Tix_UnknownPublicMethodError in tixError.o
      _FreeClientStruct in tixGeometry.o
      _StructureProc in tixGeometry.o
      _StructureProc in tixGeometry.o
      _Tix_ManageGeometryCmd in tixGeometry.o
      _Tix_ManageGeometryCmd in tixGeometry.o
      _Tix_ManageGeometryCmd in tixGeometry.o
      _GeoLostSlaveProc in tixGeometry.o
      _GeoLostSlaveProc in tixGeometry.o
      _GeoReqProc in tixGeometry.o
      _Tix_SafeInit in tixInit.o
      _Tix_Init in tixInit.o
      _Tix_GetContext in tixMethod.o
      _Tix_SuperClass in tixMethod.o

      _Tix_FindConfigSpecByName in tixOption.o
      _Tix_ChangeOptions in tixOption.o
      _Tix_QueryOneOption in tixOption.o
      _Tix_GetVar in tixOption.o
      _Tix_SetScrollBarView in tixScroll.o
      _Tix_SetScrollBarView in tixScroll.o
      _Tix_UpdateScrollBar in tixScroll.o
      _Tix_CreateCommands in tixUtils.o
      _Tix_CreateCommands in tixUtils.o
      _DeleteHashTableProc in tixUtils.o
      _TixGetHashTable in tixUtils.o
      _Tix_SetRcFileName in tixUtils.o
      _Tix_CreateSubWindow in tixUtils.o
      _ReliefParseProc in tixUtils.o
      _Tix_HandleSubCmds in tixUtils.o
      _Tix_HandleSubCmds in tixUtils.o
      _Tix_HandleSubCmds in tixUtils.o
      _Tix_ZAlloc in tixUtils.o
      _Tix_GlobalVarEval in tixUtils.o
      _Tix_Exit in tixUtils.o
      _Tix_Exit in tixUtils.o
      _Tix_CreateWidgetCmd in tixWidget.o
      _Tix_CreateWidgetCmd in tixWidget.o
      _Tix_GrSelModify in tixGrSel.o
      _Tix_GrFreeSortItems in tixGrSort.o
      _SortCompareProc in tixGrSort.o
      _SortCompareProc in tixGrSort.o
      _SortCompareProc in tixGrSort.o
      _Tix_GrGetSortItems in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GrSort in tixGrSort.o
      _Tix_GetChars in tixGrUtl.o
      _Tix_GrConfigSize in tixGrUtl.o
      _Tix_GrConfigSize in tixGrUtl.o
      _Tix_GrConfigSize in tixGrUtl.o
      _Tix_GrConfigSize in tixGrUtl.o
      _Tix_HLCancelResizeWhenIdle in tixHList.o
      _Tix_HLFindElement in tixHList.o
      _CurSelection in tixHList.o
      _Tix_HLGeometryInfo in tixHList.o
      _Tix_HLGeometryInfo in tixHList.o
      _Tix_HLGeometryInfo in tixHList.o
      _UpdateOneScrollBar in tixHList.o
      _AllocElement in tixHList.o
      _WidgetCommand in tixHList.o
      _Tix_HLEntryCget in tixHList.o
      _Tix_HLResizeWhenIdle in tixHList.o
      _Tix_HLResizeWhenIdle in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _NewElement in tixHList.o
      _WidgetConfigure in tixHList.o
      _WidgetConfigure in tixHList.o
      _Tix_HListCmd in tixHList.o
      _Tix_HListCmd in tixHList.o
      _Tix_HListCmd in tixHList.o
      _Tix_HListCmd in tixHList.o
      _Tix_HListCmd in tixHList.o
      _UpdateScrollBars in tixHList.o
      _FreeElement in tixHList.o
      _FreeElement in tixHList.o
      _Tix_HLDelete in tixHList.o
      _Tix_HLDelete in tixHList.o
      _WidgetDestroy in tixHList.o
      _WidgetDestroy in tixHList.o
      _Tix_HLXView in tixHList.o
      _Tix_HLXView in tixHList.o
      _Tix_HLXView in tixHList.o
      _Tix_HLXView in tixHList.o
      _Tix_HLXView in tixHList.o
      _Tix_HLSetSite in tixHList.o
      _Tix_HLSetSite in tixHList.o
      _Tix_HLSetSite in tixHList.o
      _ConfigElement in tixHList.o
      _Tix_HLAddChild in tixHList.o
      _Tix_HLAdd in tixHList.o
      _Tix_HLComputeGeometry in tixHList.o
      _Tix_HLResizeNow in tixHList.o
      _Tix_HLNearest in tixHList.o
      _SubWindowEventProc in tixHList.o
      _WidgetEventProc in tixHList.o
      _WidgetEventProc in tixHList.o
      _WidgetEventProc in tixHList.o
      _WidgetEventProc in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLItemInfo in tixHList.o
      _Tix_HLSelection in tixHList.o
      _Tix_HLSelection in tixHList.o
      _Tix_HLSelection in tixHList.o
      _Tix_HLSelection in tixHList.o
      _Tix_HLYView in tixHList.o
      _Tix_HLYView in tixHList.o
      _Tix_HLYView in tixHList.o
      _Tix_HLSeeElement in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _Tix_HLSee in tixHList.o
      _Tix_HLInfo in tixHList.o
      _Tix_HLInfo in tixHList.o
     _Tix_HLInfo in tixHList.o
      _Tix_HLInfo in tixHList.o
      _Tix_HLInfo in tixHList.o
      _Tix_HLInfo in tixHList.o
      _Tix_HLAllocColumn in tixHLCol.o
      _Tix_HLColWidth in tixHLCol.o
      _Tix_HLColWidth in tixHLCol.o
      _Tix_HLColWidth in tixHLCol.o
      _Tix_HLColWidth in tixHLCol.o
      _Tix_HLGetColumn in tixHLCol.o
      _Tix_HLGetColumn in tixHLCol.o
      _Tix_HLGetColumn in tixHLCol.o
      _Tix_HLItemExists in tixHLCol.o
      _Tix_HLItemExists in tixHLCol.o
      _Tix_HLItemDelete in tixHLCol.o
      _Tix_HLItemCreate in tixHLCol.o
      _Tix_HLIndExists in tixHLInd.o
      _Tix_HLIndExists in tixHLInd.o
      _Tix_HLIndCGet in tixHLInd.o
      _Tix_HLIndSize in tixHLInd.o
      _Tix_HLIndSize in tixHLInd.o
      _Tix_HLIndDelete in tixHLInd.o
      _Tix_HLIndCreate in tixHLInd.o
      _Tix_HLIndConfig in tixHLInd.o
      _Tix_HLGetHeader in tixHLHdr.o
      _Tix_HLCreateHeaders in tixHLHdr.o
      _Tix_HLCreateHeaders in tixHLHdr.o
      _Tix_HLHdrExist in tixHLHdr.o
      _Tix_HLHdrExist in tixHLHdr.o
      _Tix_HLHdrSize in tixHLHdr.o
      _Tix_HLHdrSize in tixHLHdr.o
      _Tix_HLFreeHeaders in tixHLHdr.o
      _Tix_HLHdrCreate in tixHLHdr.o
      _DeleteTab in tixNBFrame.o
      _DeleteTab in tixNBFrame.o
      _WidgetDestroy in tixNBFrame.o
      _FindTab in tixNBFrame.o
      _ImageProc in tixNBFrame.o
      _TabConfigure in tixNBFrame.o
      _WidgetEventProc in tixNBFrame.o
      _WidgetEventProc in tixNBFrame.o
      _WidgetEventProc in tixNBFrame.o
      _WidgetConfigure in tixNBFrame.o
      _Tix_NoteBookFrameCmd in tixNBFrame.o
      _Tix_NoteBookFrameCmd in tixNBFrame.o
      _Tix_NoteBookFrameCmd in tixNBFrame.o
      _Tix_NoteBookFrameCmd in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _ResizeWhenIdle in tixTList.o
      _ResizeWhenIdle in tixTList.o
      _WidgetConfigure in tixTList.o
      _WidgetConfigure in tixTList.o
      _Tix_TListCmd in tixTList.o
      _Tix_TListCmd in tixTList.o
      _UpdateScrollBars in tixTList.o
      _WidgetCommand in tixTList.o
      _Tix_TLGeometryInfo in tixTList.o
      _Tix_TLGeometryInfo in tixTList.o
      _Tix_TLGeometryInfo in tixTList.o
      _Tix_TLSpecialEntryInfo in tixTList.o
      _Tix_TLSpecialEntryInfo in tixTList.o
      _Tix_TLSpecialEntryInfo in tixTList.o
      _FreeEntry in tixTList.o
      _WidgetComputeGeometry in tixTList.o
      _WidgetComputeGeometry in tixTList.o
      _WidgetComputeGeometry in tixTList.o
      _Tix_TLGetNearest in tixTList.o
      _Tix_TranslateIndex in tixTList.o
      _Tix_TLEntryCget in tixTList.o
      _WidgetDestroy in tixTList.o
      _WidgetDestroy in tixTList.o
      _Tix_TLGetNeighbor in tixTList.o
      _Tix_TLGetNeighbor in tixTList.o
      _Tix_TLInfo in tixTList.o
      _Tix_TLInfo in tixTList.o
      _Tix_TLInfo in tixTList.o
      _Tix_TLInfo in tixTList.o
      _Tix_TLIndex in tixTList.o
      _Tix_TLNearest in tixTList.o
      _WidgetEventProc in tixTList.o
      _WidgetEventProc in tixTList.o
      _WidgetEventProc in tixTList.o
      _ConfigElement in tixTList.o
      _Tix_TLEntryConfig in tixTList.o
      _Tix_TLInsert in tixTList.o
      _Tix_TLInsert in tixTList.o
      _Tix_TLInsert in tixTList.o
      _Tix_TLView in tixTList.o
      _Tix_TLView in tixTList.o
      _Tix_TLSetSite in tixTList.o
      _Tix_TLSetSite in tixTList.o
      _Tix_TLSetSite in tixTList.o
      _Tix_TLSee in tixTList.o
      _Tix_TLSee in tixTList.o
      _Tix_TLSelection in tixTList.o
      _Tix_TLSelection in tixTList.o
      _Tix_TLSelection in tixTList.o
      _Tix_TLSelection in tixTList.o
      _ImgCmpGet in tixImgCmp.o
      _FreeLine in tixImgCmp.o
      _AddNewLine in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _AddNewText in tixImgCmp.o
      _AddNewSpace in tixImgCmp.o
      _AddNewImage in tixImgCmp.o
      _AddNewBitmap in tixImgCmp.o
      _ImgCmpFreeResources in tixImgCmp.o
      _ImgCmpDelete in tixImgCmp.o
      _ImgCmpConfigureMaster in tixImgCmp.o
      _ImgCmpConfigureMaster in tixImgCmp.o
      _ImgCmpConfigureMaster in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCreate in tixImgCmp.o
      _ImgCmpCreate in tixImgCmp.o
      _ImageProc in tixImgCmp.o
      _ImgXpmDelete in tixImgXpm.o
      _ImgXpmDelete in tixImgXpm.o
      _Tix_DefinePixmap in tixImgXpm.o
      _Tix_DefinePixmap in tixImgXpm.o
      _ImgXpmFree in tixImgXpm.o
      _ImgXpmFree in tixImgXpm.o
      _ImgXpmGetDataFromString in tixImgXpm.o
      _ImgXpmGetDataFromString in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmGet in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmCmd in tixImgXpm.o
      _ImgXpmCmd in tixImgXpm.o
      _ImgXpmCmd in tixImgXpm.o
      _ImgXpmCmd in tixImgXpm.o
      _ImgXpmCreate in tixImgXpm.o
      _ImgXpmCreate in tixImgXpm.o
      _TixpInitPixmapInstance in tixUnixXpm.o
      _TixpXpmAllocTmpBuffer in tixUnixXpm.o
      _TixpXpmAllocTmpBuffer in tixUnixXpm.o
      _TixpXpmFreeTmpBuffer in tixUnixXpm.o
      _TixpXpmFreeTmpBuffer in tixUnixXpm.o
      _TixpXpmFreeInstanceData in tixUnixXpm.o
  "_tclIntStubsPtr", referenced from:
      _Tix_CreateWidgetCmd in tixWidget.o
  "_tkIntStubsPtr", referenced from:
      _XLowerWindow in tixUnixWm.o
  "_tkIntXlibStubsPtr", referenced from:
      _IdleHandler in tixGrid.o
      _IdleHandler in tixGrid.o
      _IdleHandler in tixGrid.o
      _Tix_GrFormatGrid in tixGrFmt.o
      _Tix_GrFormatGrid in tixGrFmt.o
      _Tix_GrFormatGrid in tixGrFmt.o
      _Tix_GrFormatGrid in tixGrFmt.o
      _DrawElements in tixHList.o
      _DrawElements in tixHList.o
      _DrawElements in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _Tix_HLDrawHeader in tixHLHdr.o
      _Tix_HLDrawHeader in tixHLHdr.o
      _WidgetDisplay in tixNBFrame.o
      _Tix_TextStyleSetTemplate in tixDiText.o
      _Tix_TextStyleSetTemplate in tixDiText.o
      _Tix_TextItemFree in tixDiText.o
      _Tix_TextItemConfigure in tixDiText.o
      _Tix_WindowItemUnmap in tixDiWin.o
      _Tix_WindowItemUnmap in tixDiWin.o
      _Tix_WindowStyleFree in tixDiWin.o
      _Tix_WindowStyleConfigure in tixDiWin.o
      _Tix_WindowStyleSetTemplate in tixDiWin.o
      _Tix_WindowStyleSetTemplate in tixDiWin.o
      _Tix_WindowStyleSetTemplate in tixDiWin.o
      _Tix_WindowStyleSetTemplate in tixDiWin.o
      _Tix_WindowItemFree in tixDiWin.o
      _Tix_WindowItemFree in tixDiWin.o
      _Tix_WindowItemDisplay in tixDiWin.o
      _Tix_WindowItemDisplay in tixDiWin.o
      _Tix_WindowItemDisplay in tixDiWin.o
      _Tix_WindowItemDisplay in tixDiWin.o
      _Tix_WindowItemConfigure in tixDiWin.o
      _SubWindowLostSlaveProc in tixDiWin.o
      _UnmapClient in tixForm.o
      _UnmapClient in tixForm.o
      _TixFm_AddToMaster in tixForm.o
      _TixFm_GetFormInfo in tixForm.o
      _TixFm_FindClientPtrByName in tixForm.o
      _GetMasterInfo in tixForm.o
      _TixFm_Check in tixForm.o
      _TixFm_Slaves in tixForm.o
      _ArrangeGeometry in tixForm.o
      _ArrangeGeometry in tixForm.o
      _ArrangeGeometry in tixForm.o
      _TixFm_SetClient in tixForm.o
      _TixFm_SetClient in tixForm.o
      _TixFm_SetClient in tixForm.o
      _TixFm_SetClient in tixForm.o
      _TixFm_Spring in tixForm.o
      _TixFm_SetGrid in tixForm.o
      _TixFm_LostSlaveProc in tixForm.o
      _TixFm_ForgetOneClient in tixForm.o
      _TixFm_DeleteMaster in tixForm.o
      _ConfigureAttachment in tixFormMisc.o
      _ConfigureAttachment in tixFormMisc.o
      _ConfigureAttachment in tixFormMisc.o
      _ConfigureAttachment in tixFormMisc.o
      _TixFm_Configure in tixFormMisc.o
      _TixFm_Configure in tixFormMisc.o
      _TixFm_Configure in tixFormMisc.o
      _TixFm_Configure in tixFormMisc.o
      _TixFm_Configure in tixFormMisc.o
      _TixFm_Configure in tixFormMisc.o
      _WidgetCmdDeletedProc in tixGrid.o
      _Tix_GrCGet in tixGrid.o
      _WidgetDestroy in tixGrid.o
      _WidgetDestroy in tixGrid.o
      _WidgetConfigure in tixGrid.o
      _Tix_GrConfig in tixGrid.o
      _Tix_GrConfig in tixGrid.o
      _Tix_GridCmd in tixGrid.o
      _Tix_GrView in tixGrid.o
      _IdleHandler in tixGrid.o
      _IdleHandler in tixGrid.o
      _IdleHandler in tixGrid.o
      _IdleHandler in tixGrid.o
      _IdleHandler in tixGrid.o
      _IdleHandler in tixGrid.o
      _Tix_GrFillCells in tixGrFmt.o
      _Tix_GrFillCells in tixGrFmt.o
      _Tix_GrFreeUnusedColors in tixGrFmt.o
      _Tix_GrFreeUnusedColors in tixGrFmt.o
      _GetInfo in tixGrFmt.o
      _Tix_GrSaveColor in tixGrFmt.o
      _Tix_GrFormatGrid in tixGrFmt.o
      _Tix_GrFormatGrid in tixGrFmt.o
      _Tix_GrFormatBorder in tixGrFmt.o
      _Tix_GrConfigSize in tixGrUtl.o
      _Tix_GrConfigSize in tixGrUtl.o
     _Tix_GrConfigSize in tixGrUtl.o
      _Tix_HLCGet in tixHList.o
      _WidgetCmdDeletedProc in tixHList.o
      _DrawElements in tixHList.o
      _DrawElements in tixHList.o
      _DrawElements in tixHList.o
      _WidgetConfigure in tixHList.o
      _Tix_HLConfig in tixHList.o
      _Tix_HLConfig in tixHList.o
      _Tix_HListCmd in tixHList.o
      _WidgetDestroy in tixHList.o
      _WidgetDestroy in tixHList.o
      _Tix_HLXView in tixHList.o
      _Tix_HLComputeGeometry in tixHList.o
      _Tix_HLYView in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _WidgetDisplay in tixHList.o
      _Tix_HLColWidth in tixHLCol.o
      _Tix_HLItemCGet in tixHLCol.o
      _Tix_HLItemConfig in tixHLCol.o
      _Tix_HLItemConfig in tixHLCol.o
      _Tix_HLIndCGet in tixHLInd.o
      _Tix_HLIndConfig in tixHLInd.o
      _Tix_HLIndConfig in tixHLInd.o
      _Tix_HLCreateHeaders in tixHLHdr.o
      _Tix_HLFreeHeaders in tixHLHdr.o
      _Tix_HLDrawHeader in tixHLHdr.o
      _Tix_HLDrawHeader in tixHLHdr.o
      _WidgetCmdDeletedProc in tixNBFrame.o
      _DeleteTab in tixNBFrame.o
      _DeleteTab in tixNBFrame.o
      _WidgetDestroy in tixNBFrame.o
      _WidgetDestroy in tixNBFrame.o
      _WidgetComputeGeometry in tixNBFrame.o
      _WidgetDisplay in tixNBFrame.o
      _WidgetDisplay in tixNBFrame.o
      _WidgetDisplay in tixNBFrame.o
      _WidgetDisplay in tixNBFrame.o
      _WidgetDisplay in tixNBFrame.o
      _WidgetDisplay in tixNBFrame.o
      _WidgetDisplay in tixNBFrame.o
      _TabConfigure in tixNBFrame.o
      _WidgetConfigure in tixNBFrame.o
      _Tix_NoteBookFrameCmd in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCommand in tixNBFrame.o
      _WidgetCmdDeletedProc in tixTList.o
      _Tix_TLCGet in tixTList.o
      _WidgetConfigure in tixTList.o
      _Tix_TLConfig in tixTList.o
      _Tix_TLConfig in tixTList.o
      _Tix_TListCmd in tixTList.o
      _Tix_TListCmd in tixTList.o
      _Tix_TListCmd in tixTList.o
      _Tix_TListCmd in tixTList.o
      _WidgetDisplay in tixTList.o
      _WidgetDisplay in tixTList.o
      _WidgetDisplay in tixTList.o
      _WidgetDisplay in tixTList.o
      _WidgetDisplay in tixTList.o
      _FreeEntry in tixTList.o
      _WidgetDestroy in tixTList.o
      _WidgetDestroy in tixTList.o
      _ImgCmpGet in tixImgCmp.o
      _FreeLine in tixImgCmp.o
      _AddNewLine in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _FreeItem in tixImgCmp.o
      _AddNewText in tixImgCmp.o
      _AddNewSpace in tixImgCmp.o
      _AddNewImage in tixImgCmp.o
      _AddNewBitmap in tixImgCmp.o
      _ImgCmpFreeResources in tixImgCmp.o
      _ImgCmpFreeResources in tixImgCmp.o
      _ImgCmpFreeResources in tixImgCmp.o
      _ImgCmpCmdDeletedProc in tixImgCmp.o
      _CalculateMasterSize in tixImgCmp.o
      _ImgCmpDisplay in tixImgCmp.o
      _ImgCmpDisplay in tixImgCmp.o
      _ImgCmpConfigureMaster in tixImgCmp.o
      _ImgCmpConfigureMaster in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgCmpCmd in tixImgCmp.o
      _ImgXpmDelete in tixImgXpm.o
      _ImgXpmCmdDeletedProc in tixImgXpm.o
      _ImgXpmFree in tixImgXpm.o
      _ImgXpmFree in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmConfigureInstance in tixImgXpm.o
      _ImgXpmGet in tixImgXpm.o
      _ImgXpmGet in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmConfigureMaster in tixImgXpm.o
      _ImgXpmCmd in tixImgXpm.o
      _ImgXpmCmd in tixImgXpm.o
      _ImgXpmCmd in tixImgXpm.o
      _TixpDrawTmpLine in tixUnixDraw.o
      _TixpStartSubRegionDraw in tixUnixDraw.o
      _TixpEndSubRegionDraw in tixUnixDraw.o
      _TixpSubRegDrawImage in tixUnixDraw.o
      _TixpSubRegDrawImage in tixUnixDraw.o
      _TixpXpmRealizePixmap in tixUnixXpm.o
      _TixpXpmRealizePixmap in tixUnixXpm.o
      _TixpXpmRealizePixmap in tixUnixXpm.o
      _TixpXpmRealizePixmap in tixUnixXpm.o
      _TixpXpmRealizePixmap in tixUnixXpm.o
      _TixpXpmFreeInstanceData in tixUnixXpm.o
      _TixpXpmFreeInstanceData in tixUnixXpm.o
ld: symbol(s) not found
collect2: ld returned 1 exit status
make: *** [libTix8.4.3.dylib] Error 1

Thanks

-N

© Super User or respective owner

Related posts about osx

Related posts about python